欢迎来到尧图网

客户服务 关于我们

您的位置:首页 > 教育 > 培训 > verilog实现ram16*8 (vivado)

verilog实现ram16*8 (vivado)

2024/10/24 15:16:54 来源:https://blog.csdn.net/m0_74626628/article/details/140551333  浏览:    关键词:verilog实现ram16*8 (vivado)
module ram_16x2 (input clk,        // 时钟信号input we,         // 写使能input en,         // 使能信号input [3:0] addr, // 地址线input [1:0] datain, // 输入数据线output reg [1:0] dataout // 输出数据线
);// 定义存储器数组reg [1:0] mem [15:0];always @(posedge clk) beginif (en) beginif (we) beginmem[addr] <= datain; // 写入数据enddataout <= mem[addr]; // 读取数据endendendmodulemodule ram_16x8 (input clk,          // 时钟信号input we,           // 写使能input en,           // 使能信号input [3:0] addr,   // 地址线input [7:0] datain, // 输入数据线output [7:0] dataout // 输出数据线
);// 输出数据线wire [1:0] dataout0, dataout1, dataout2, dataout3;// 实例化4个16×2位存储器ram_16x2 ram0 (.clk(clk),.we(we),.en(en),.addr(addr),.datain(datain[1:0]),.dataout(dataout0));ram_16x2 ram1 (.clk(clk),.we(we),.en(en),.addr(addr),.datain(datain[3:2]),.dataout(dataout1));ram_16x2 ram2 (.clk(clk),.we(we),.en(en),.addr(addr),.datain(datain[5:4]),.dataout(dataout2));ram_16x2 ram3 (.clk(clk),.we(we),.en(en),.addr(addr),.datain(datain[7:6]),.dataout(dataout3));// 合并4个16×2位存储器的输出数据assign dataout = {dataout3, dataout2, dataout1, dataout0};endmodule

 

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com